aboutsummaryrefslogtreecommitdiff
path: root/VHDL.wiki
diff options
context:
space:
mode:
authorHugo Hörnquist <hugo@lysator.liu.se>2019-02-19 10:40:56 +0100
committerHugo Hörnquist <hugo@lysator.liu.se>2019-02-19 10:40:56 +0100
commitda5c8531adc8fc19583647e83099d7b17cf6aa43 (patch)
tree1303a56a5630db83acc475f1b6642ec7aa969618 /VHDL.wiki
parentTue, 19 Feb 2019 10:40:15 +0100 (diff)
downloadwiki-public-da5c8531adc8fc19583647e83099d7b17cf6aa43.tar.gz
wiki-public-da5c8531adc8fc19583647e83099d7b17cf6aa43.tar.xz
Tue, 19 Feb 2019 10:40:56 +0100
Diffstat (limited to 'VHDL.wiki')
-rw-r--r--VHDL.wiki6
1 files changed, 4 insertions, 2 deletions
diff --git a/VHDL.wiki b/VHDL.wiki
index 902a3c7..27d5fc2 100644
--- a/VHDL.wiki
+++ b/VHDL.wiki
@@ -29,11 +29,13 @@ end architecture namn2;
== Exempelkrets ==
-$$ \begin{aligned}
+$
+\begin{aligned}
\text{låt} x &= a \wedge b \\
y &= a \wee b :
c = \neg (x \wee y)
-\end{aligned} $$
+\end{aligned}
+$
{{{vhdl
entity knet is