aboutsummaryrefslogtreecommitdiff
path: root/VHDL.wiki
diff options
context:
space:
mode:
authorHugo Hörnquist <hugo@lysator.liu.se>2019-02-19 10:43:22 +0100
committerHugo Hörnquist <hugo@lysator.liu.se>2019-02-19 10:43:22 +0100
commitd1620c25424dab91f58d7ace4b35289ef7f7f3ae (patch)
tree568b9f532132f7ec7b879663d15f44430d4ef154 /VHDL.wiki
parentTue, 19 Feb 2019 10:40:56 +0100 (diff)
downloadwiki-public-d1620c25424dab91f58d7ace4b35289ef7f7f3ae.tar.gz
wiki-public-d1620c25424dab91f58d7ace4b35289ef7f7f3ae.tar.xz
Tue, 19 Feb 2019 10:43:22 +0100
Diffstat (limited to 'VHDL.wiki')
-rw-r--r--VHDL.wiki11
1 files changed, 7 insertions, 4 deletions
diff --git a/VHDL.wiki b/VHDL.wiki
index 27d5fc2..dff44f4 100644
--- a/VHDL.wiki
+++ b/VHDL.wiki
@@ -29,13 +29,13 @@ end architecture namn2;
== Exempelkrets ==
-$
+{{$
\begin{aligned}
\text{låt} x &= a \wedge b \\
- y &= a \wee b :
-c = \neg (x \wee y)
+ y &= a \vee b :
\end{aligned}
-$
+c = \neg (x \vee y)
+}}$
{{{vhdl
entity knet is
@@ -52,3 +52,6 @@ begin
end architecture fisttry;
}}}
+
+Mycket hårt typat, men nästan inga datatyper. Så nästintill allting
+måste deffinieras upp själv.