aboutsummaryrefslogtreecommitdiff
path: root/VHDL.wiki
diff options
context:
space:
mode:
authorHugo Hörnquist <hugo@lysator.liu.se>2019-03-01 11:52:32 +0100
committerHugo Hörnquist <hugo@lysator.liu.se>2019-03-01 11:52:32 +0100
commita8cae19d9e104322cab20c8a12ce7eb9b1d8d29d (patch)
treee5feed1ac70aae27bda3142b4009b51c568c480a /VHDL.wiki
parentTue, 19 Feb 2019 20:55:42 +0100 (diff)
downloadwiki-public-a8cae19d9e104322cab20c8a12ce7eb9b1d8d29d.tar.gz
wiki-public-a8cae19d9e104322cab20c8a12ce7eb9b1d8d29d.tar.xz
Fri, 01 Mar 2019 11:52:32 +0100
Diffstat (limited to 'VHDL.wiki')
-rw-r--r--VHDL.wiki5
1 files changed, 3 insertions, 2 deletions
diff --git a/VHDL.wiki b/VHDL.wiki
index abb4ffb..b29cfc1 100644
--- a/VHDL.wiki
+++ b/VHDL.wiki
@@ -87,7 +87,7 @@ Konstiga fulhak undanbedes.
Standardbiblioteket importeras enkalst genom
{{{VHDL
library ieee; -- Berätta att biblioteket finns.
-use ieee.standard_logic_1164.all; -- Importera det vi vill ha (allt)
+use ieee.std_logic_1164.all; -- Importera det vi vill ha (allt)
}}}
=== std_logic ===
@@ -130,7 +130,8 @@ end de;
architecture d_vippa of de is
begin
- process(clk) -- processen körs när när någon av signalerna i
+ -- processer kan namnges (frivilligt)
+ name: process(clk) -- processen körs när när någon av signalerna i
-- "känslighetslistan" ändras. I det här fallet clk.
begin
if rising_edge(clk) then -- Kolla efter positiv flak.