aboutsummaryrefslogtreecommitdiff
path: root/VHDL.wiki
diff options
context:
space:
mode:
authorHugo Hörnquist <hugo@lysator.liu.se>2019-02-19 11:03:21 +0100
committerHugo Hörnquist <hugo@lysator.liu.se>2019-02-19 11:03:21 +0100
commit4652f597de394e4225ca1d8e00090b6da456f1ba (patch)
tree304e45d84a4392deb1acb4fd540241c53d2f6541 /VHDL.wiki
parentTue, 19 Feb 2019 11:02:38 +0100 (diff)
downloadwiki-public-4652f597de394e4225ca1d8e00090b6da456f1ba.tar.gz
wiki-public-4652f597de394e4225ca1d8e00090b6da456f1ba.tar.xz
Tue, 19 Feb 2019 11:03:21 +0100
Diffstat (limited to 'VHDL.wiki')
-rw-r--r--VHDL.wiki8
1 files changed, 4 insertions, 4 deletions
diff --git a/VHDL.wiki b/VHDL.wiki
index cbe64a2..737b2dc 100644
--- a/VHDL.wiki
+++ b/VHDL.wiki
@@ -8,8 +8,8 @@
- [[#VHDL#Typer av satser|Typer av satser]]
- [[#VHDL#Typer av satser#process|process]]
- [[#VHDL#Annat trevligt|Annat trevligt]]
- - [[#VHDL#Annat trevligt#`with-select-when`|`with-select-when`]]
- - [[#VHDL#Annat trevligt#`when-else`|`when-else`]]
+ - [[#VHDL#Annat trevligt#with-select-when|with-select-when]]
+ - [[#VHDL#Annat trevligt#when-else|when-else]]
= VHDL =
@@ -117,9 +117,9 @@ Allting i VHDL är paralellt by default, dock finns:
== Annat trevligt ==
-=== `with-select-when` ===
+=== with-select-when ===
-=== `when-else` ===
+=== when-else ===
Tillåter "krångliga" uttryck i högerledet.
Första sanna villkoret bestämmer. Allting körs parallellt?