aboutsummaryrefslogtreecommitdiff
path: root/VHDL.wiki
diff options
context:
space:
mode:
authorHugo Hörnquist <hugo@lysator.liu.se>2019-02-19 12:08:13 +0100
committerHugo Hörnquist <hugo@lysator.liu.se>2019-02-19 12:08:13 +0100
commit3aab2d891d04c0d0ece326904ecc5ed10774135d (patch)
tree605763224ca3aa19a7c512fffa6bc21a429bdf51 /VHDL.wiki
parentTue, 19 Feb 2019 11:42:05 +0100 (diff)
downloadwiki-public-3aab2d891d04c0d0ece326904ecc5ed10774135d.tar.gz
wiki-public-3aab2d891d04c0d0ece326904ecc5ed10774135d.tar.xz
Tue, 19 Feb 2019 12:08:13 +0100
Diffstat (limited to 'VHDL.wiki')
-rw-r--r--VHDL.wiki1
1 files changed, 0 insertions, 1 deletions
diff --git a/VHDL.wiki b/VHDL.wiki
index 2570344..abb4ffb 100644
--- a/VHDL.wiki
+++ b/VHDL.wiki
@@ -217,4 +217,3 @@ if q = 10 ...
q <= "0011";
q(0) <= '1';
}}}
-