From a8cae19d9e104322cab20c8a12ce7eb9b1d8d29d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Hugo=20H=C3=B6rnquist?= Date: Fri, 1 Mar 2019 11:52:32 +0100 Subject: Fri, 01 Mar 2019 11:52:32 +0100 --- Terminal Driver.wiki | 10 ++++++++++ VHDL.wiki | 5 +++-- 2 files changed, 13 insertions(+), 2 deletions(-) diff --git a/Terminal Driver.wiki b/Terminal Driver.wiki index 50fbeb0..ee92737 100644 --- a/Terminal Driver.wiki +++ b/Terminal Driver.wiki @@ -1,3 +1,4 @@ += Terminal Driver = - [[https://atmega32-avr.com/how-to-super-simple-serial-terminal-using-atmega128/|Fungerande exempel på ATMEL]] == Terminal Driver == - @@ -33,3 +34,12 @@ Med skärmen till höger om headern. - [[https://www.bigmessowires.com/2018/05/26/raspberry-pi-gpio-programming-in-c/|Alternativ för GPIO access i C]] - [[http://www.airspayce.com/mikem/bcm2835/|Väldokumenterat bibliotek]] - + +== Pins == +- [[https://git.kernel.org/pub/scm/libs/libgpiod/libgpiod.git|libgpiod]] +- https://www.acmesystems.it/libgpiod + +{{{ +/dev/gpiochip0 +}}} + diff --git a/VHDL.wiki b/VHDL.wiki index abb4ffb..b29cfc1 100644 --- a/VHDL.wiki +++ b/VHDL.wiki @@ -87,7 +87,7 @@ Konstiga fulhak undanbedes. Standardbiblioteket importeras enkalst genom {{{VHDL library ieee; -- Berätta att biblioteket finns. -use ieee.standard_logic_1164.all; -- Importera det vi vill ha (allt) +use ieee.std_logic_1164.all; -- Importera det vi vill ha (allt) }}} === std_logic === @@ -130,7 +130,8 @@ end de; architecture d_vippa of de is begin - process(clk) -- processen körs när när någon av signalerna i + -- processer kan namnges (frivilligt) + name: process(clk) -- processen körs när när någon av signalerna i -- "känslighetslistan" ändras. I det här fallet clk. begin if rising_edge(clk) then -- Kolla efter positiv flak. -- cgit v1.2.3