aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
Diffstat (limited to '')
-rw-r--r--VHDL.wiki11
1 files changed, 7 insertions, 4 deletions
diff --git a/VHDL.wiki b/VHDL.wiki
index 27d5fc2..dff44f4 100644
--- a/VHDL.wiki
+++ b/VHDL.wiki
@@ -29,13 +29,13 @@ end architecture namn2;
== Exempelkrets ==
-$
+{{$
\begin{aligned}
\text{låt} x &= a \wedge b \\
- y &= a \wee b :
-c = \neg (x \wee y)
+ y &= a \vee b :
\end{aligned}
-$
+c = \neg (x \vee y)
+}}$
{{{vhdl
entity knet is
@@ -52,3 +52,6 @@ begin
end architecture fisttry;
}}}
+
+Mycket hårt typat, men nästan inga datatyper. Så nästintill allting
+måste deffinieras upp själv.