aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
Diffstat (limited to '')
-rw-r--r--VHDL.wiki8
1 files changed, 4 insertions, 4 deletions
diff --git a/VHDL.wiki b/VHDL.wiki
index cbe64a2..737b2dc 100644
--- a/VHDL.wiki
+++ b/VHDL.wiki
@@ -8,8 +8,8 @@
- [[#VHDL#Typer av satser|Typer av satser]]
- [[#VHDL#Typer av satser#process|process]]
- [[#VHDL#Annat trevligt|Annat trevligt]]
- - [[#VHDL#Annat trevligt#`with-select-when`|`with-select-when`]]
- - [[#VHDL#Annat trevligt#`when-else`|`when-else`]]
+ - [[#VHDL#Annat trevligt#with-select-when|with-select-when]]
+ - [[#VHDL#Annat trevligt#when-else|when-else]]
= VHDL =
@@ -117,9 +117,9 @@ Allting i VHDL är paralellt by default, dock finns:
== Annat trevligt ==
-=== `with-select-when` ===
+=== with-select-when ===
-=== `when-else` ===
+=== when-else ===
Tillåter "krångliga" uttryck i högerledet.
Första sanna villkoret bestämmer. Allting körs parallellt?