aboutsummaryrefslogtreecommitdiff
path: root/tests/param.scm
blob: 0c4190dd8c455da4267795bea6e951e89558d781 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
(((vcomponent base) param prop* parameters)
 ((vcomponent parse) parse-calendar)
 ((calp util) sort*))

(define v (call-with-input-string
              "BEGIN:DUMMY
KEY;A=1;B=2:Some text
END:DUMMY"
              parse-calendar))

(test-equal '("1") (param (prop* v 'KEY) 'A))
(test-equal '("2") (param (prop* v 'KEY) 'B))
(test-equal #f (param (prop* v 'KEY) 'C))

(test-equal '(A B) (sort* (map car (parameters (prop* v 'KEY)))
                          string<?
                          symbol->string))